abonnement Unibet Coolblue
  zaterdag 1 mei 2021 @ 18:14:07 #101
458878 -XOR-
highbrow marxist
pi_199234923
Trickle down neoliberalisme, omdat we allemaal weten hoe goed dat werkt :').

Met het nieuwe VVD66-kabinet zullen we ongetwijfeld wel weer die kant op buigen. Dit zou schandalig zijn, ook ethisch. Deze markt kent al een hoge instap en om hem dan nog oligopolistischer te maken zou verboden moeten worden.

[ Bericht 13% gewijzigd door -XOR- op 01-05-2021 18:20:17 ]
We have always been at war with Eastasia.
  zaterdag 1 mei 2021 @ 18:32:23 #102
36431 bleiblei
Toogmakker:copyright:
pi_199235134
quote:
0s.gif Op zaterdag 1 mei 2021 18:00 schreef p078 het volgende:

[..]

Voor nu niet maar niet voor lang.

https://inf.news/en/tech/23d06e4b6f82356973a4adb2c4fe9e90.html

Bovendien zijn er nu al alternatieven voor EUV zoals Canon's NIL, die dezelfde kwaliteit biedt als EUV:

https://seekingalpha.com/(...)-asml-holdings-armor

https://sst.semiconductor(...)alternatives-to-euv/

De Chinezen hebben hun geld gezet om graphene wafers. ASML kan zijn borst nat maken.

https://daydaynews.cc/en/technology/906722.html
Maar met wiens machines ga je die graphene wafers belichten dan? ;)
pi_199235566
quote:
0s.gif Op zaterdag 1 mei 2021 18:32 schreef bleiblei het volgende:

[..]

Maar met wiens machines ga je die graphene wafers belichten dan? ;)
Niet die van ASML. Wat die hebben die techniek niet in huis. Dat zijn ze nu in China aan het uitvogelen.
  zaterdag 1 mei 2021 @ 19:16:29 #104
36431 bleiblei
Toogmakker:copyright:
pi_199235677
quote:
0s.gif Op zaterdag 1 mei 2021 19:08 schreef p078 het volgende:

[..]

Niet die van ASML. Wat die hebben die techniek niet in huis. Dat zijn ze nu in China aan het uitvogelen.
Dus China heeft de techniek ook niet in huis ;) .
Sterker nog, de techniek die China momenteel wel in huis heeft, komt niet in de buurt van DUV machines die door Canon, Nikon of ASML verkocht worden. Dus kunnen ze dadelijk Grafeen belichten, doen ze dat met een nauwkeurigheid van een paar 100nm. En zullen ze alsnog terug moeten vallen op de 3 litho fabrikanten.
  zaterdag 1 mei 2021 @ 19:19:09 #105
36431 bleiblei
Toogmakker:copyright:
pi_199235721
Al zou het kunnen zijn dat ze daar verder zijn dan ik weet. Zo goed zit ik ook weer niet in die business.
pi_199235795
Iemand op Tweakers rekende voor dat het iets van 237.000 (tweehonderdzevendertigduizend) manJAAR zou kosten om de business-case sluitend te maken... Dus financieel gezien lijkt het geen echt goed idee. :)
vrijdag 9 december 2016 15:58 schreef Ringo het volgende:
Welke discussie? Ik zie alleen maar harige kerels die elkaar de rug inzepen.
pi_199235983
De VVD zal wel weer staan te springen om zo'n fabriek naar Nederland te halen. Want bAnEn. Met als gevolg dat er nog meer laaggeleide oostblokkers hierheen moeten komen.
  zaterdag 1 mei 2021 @ 19:48:13 #108
36431 bleiblei
Toogmakker:copyright:
pi_199236081
quote:
0s.gif Op zaterdag 1 mei 2021 19:40 schreef Gehrman het volgende:
De VVD zal wel weer staan te springen om zo'n fabriek naar Nederland te halen. Want bAnEn. Met als gevolg dat er nog meer laaggeleide oostblokkers hierheen moeten komen.
Ehm...
Wat hebben laag opgeleide mensen uit Oost-Europa te zoeken in een chipfab? :')
pi_199236108
quote:
0s.gif Op zaterdag 1 mei 2021 19:48 schreef bleiblei het volgende:

[..]

Ehm...
Wat hebben laag opgeleide mensen uit Oost-Europa te zoeken in een chipfab? :')
Dat is grotendeels lopendebandwerk. Dacht je dat daar R&D gedaan wordt ofzo.
  zaterdag 1 mei 2021 @ 19:55:51 #110
36431 bleiblei
Toogmakker:copyright:
pi_199236194
quote:
0s.gif Op zaterdag 1 mei 2021 19:49 schreef Gehrman het volgende:

[..]

Dat is grotendeels lopendebandwerk. Dacht je dat daar R&D gedaan wordt ofzo.
Dat is heel ander lopende bandwerk dan je in een reguliere fabriek ziet. Komen amper mensen bij kijken, en de mensen die er bij betrokken zijn, zijn zonder uitzondering hoogopgeleid.

Voor je beeldvorming. Dit is een cleanroom van TSMC:
pi_199236314
quote:
0s.gif Op zaterdag 1 mei 2021 17:52 schreef p078 het volgende:

[..]

Sorry, moest Canon zijn. Canon gaat gebruik maken van nanoimprint-lithography of NIL dit is op veel gebieden beter dan EUV en veel makkelijker.

[ afbeelding ]
Interessant, maar ik zie wel dat het nog in ontwikkeling is. Ben benieuwd als het af is, ASML was uiteindelijk ook iets van 10 jaar bezig met UEV. Op naar verdere vooruitgang en concurrentie, we hebben met Intel gezien wat gebrek aan concurrentie doet :D
pi_199236432
quote:
1s.gif Op zaterdag 1 mei 2021 19:55 schreef bleiblei het volgende:

[..]

Dat is heel ander lopende bandwerk dan je in een reguliere fabriek ziet. Komen amper mensen bij kijken, en de mensen die er bij betrokken zijn, zijn zonder uitzondering hoogopgeleid.

Voor je beeldvorming. Dit is een cleanroom van TSMC:

Geinig, op die foto machines van een andere Nederlandse machinebouwer, ASM International, waar ASML eigenlijk ook begonnen is maar later verzelfstandigd
pi_199236579
quote:
0s.gif Op zaterdag 1 mei 2021 19:24 schreef Bart2002 het volgende:
Iemand op Tweakers rekende voor dat het iets van 237.000 (tweehonderdzevendertigduizend) manJAAR zou kosten om de business-case sluitend te maken... Dus financieel gezien lijkt het geen echt goed idee. :)
Het is dan ook geen financiële overweging, maar een strategische. Willen ze dat de nieuwste generaties chips ook in Europa gemaakt worden of zijn ze tevreden als dat in Azie en de VS blijft. Global Foundries in Dresden maakte voorheen de AMD chips maar die is afgehaakt in die race.

Een beetje hetzelfde idee dat Arianespace een nieuwe raket ontwikkelt terwijl SpaceX stukken goedkoper is en blijft. Financieel slaat het nergens op, maar er is wat voor te zeggen dat de EU eigen lanceermogelijkheden houdt.
pi_199237167
quote:
1s.gif Op zaterdag 1 mei 2021 19:16 schreef bleiblei het volgende:

[..]

Dus China heeft de techniek ook niet in huis ;) .
Sterker nog, de techniek die China momenteel wel in huis heeft, komt niet in de buurt van DUV machines die door Canon, Nikon of ASML verkocht worden. Dus kunnen ze dadelijk Grafeen belichten, doen ze dat met een nauwkeurigheid van een paar 100nm. En zullen ze alsnog terug moeten vallen op de 3 litho fabrikanten.
Je haalt twee dingen door elkaar. In China kunnen ze hun eigen grafeen wafers produceren door gebruikt te maken van hun eigen machines. Dat is een totaal andere techniek en materiaal dan die wordt gebruikt voor de huidige chips met ASML, Canon en Nikon machines. Die kunnen dat niet. Het is nog productierijp, maar gezien hun patenten op dat gebied zijn hard aan het timmeren in die richting. Grafeen biedt maar liefst 10x meer performance dan de huidige gebruikte materiaal.

De huidige lithografiemachine van China loopt inderdaad achter op ASML en Nikon/Canon. Maar hij wordt wel voor 100% in China gemaakt. Dus geef de Chinezen 5 jaar en ze zitten op hetzelfde niveau als Nikon, Canon en wellicht ASML. In de toekomst zullen ze overstappen op grafeen, maar in de tussentijd zullen ze waarschijnlijk gebruik maken van Nikon, Canon en hun eigen lithografiemachine. ASML kan ze borst nat maken.
  zaterdag 1 mei 2021 @ 23:52:18 #115
129292 LXIV
Cultuurmoslim
pi_199240121
quote:
0s.gif Op zaterdag 1 mei 2021 18:00 schreef p078 het volgende:

[..]

Voor nu niet maar niet voor lang.

https://inf.news/en/tech/23d06e4b6f82356973a4adb2c4fe9e90.html

Bovendien zijn er nu al alternatieven voor EUV zoals Canon's NIL, die dezelfde kwaliteit biedt als EUV:

https://seekingalpha.com/(...)-asml-holdings-armor

https://sst.semiconductor(...)alternatives-to-euv/

De Chinezen hebben hun geld gezet om graphene wafers. ASML kan zijn borst nat maken.

https://daydaynews.cc/en/technology/906722.html
Dat nil, daar hebben ze het al 15 jaar over. Hoeveel chips zijn er inmiddels al mee gebakken dan?
Op maandag 15 mei 2023 18:39
Wellicht arrogant, maar ik weet 100% zeker dat ik meer weet van de Amerikaanse geschiedenis, vooral die van de Zuidelijke staten, dan alle fokkers bij elkaar. Durf ik mijn hand wel voor in het vuur te steken.
  zaterdag 1 mei 2021 @ 23:56:33 #116
129292 LXIV
Cultuurmoslim
pi_199240166
Ik geloof wel dat als de Chinezen zich boos maken en er hun hele massa tegenaan gooien, ze in staat zijn om snel veel vooruitgang te boeken. TSMC zijn ook allemaal Chinezen.
Op maandag 15 mei 2023 18:39
Wellicht arrogant, maar ik weet 100% zeker dat ik meer weet van de Amerikaanse geschiedenis, vooral die van de Zuidelijke staten, dan alle fokkers bij elkaar. Durf ik mijn hand wel voor in het vuur te steken.
  zondag 2 mei 2021 @ 00:01:26 #117
36431 bleiblei
Toogmakker:copyright:
pi_199240220
quote:
0s.gif Op zaterdag 1 mei 2021 23:56 schreef LXIV het volgende:
Ik geloof wel dat als de Chinezen zich boos maken en er hun hele massa tegenaan gooien, ze in staat zijn om snel veel vooruitgang te boeken. TSMC zijn ook allemaal Chinezen.
Nah, ik denk dat ik wel weet hoe ze over jouw stelling denken in Hsinchu :P .
  zondag 2 mei 2021 @ 00:03:51 #118
129292 LXIV
Cultuurmoslim
pi_199240246
quote:
0s.gif Op zondag 2 mei 2021 00:01 schreef bleiblei het volgende:

[..]

Nah, ik denk dat ik wel weet hoe ze over jouw stelling denken in Hsinchu :P .
Hoe dan?

En dan nog een vraag over dat nil, als het zo veelbelovend is, waar blijft de commercialisering dan?
Op maandag 15 mei 2023 18:39
Wellicht arrogant, maar ik weet 100% zeker dat ik meer weet van de Amerikaanse geschiedenis, vooral die van de Zuidelijke staten, dan alle fokkers bij elkaar. Durf ik mijn hand wel voor in het vuur te steken.
  zondag 2 mei 2021 @ 00:08:16 #119
36431 bleiblei
Toogmakker:copyright:
pi_199240301
quote:
0s.gif Op zondag 2 mei 2021 00:03 schreef LXIV het volgende:

[..]

Hoe dan?

En dan nog een vraag over dat nil, als het zo veelbelovend is, waar blijft de commercialisering dan?
Taiwanezen worden niet graag Chinezen genoemd :P .

En vergeet niet dat commercialisering van EUV ook dik 15/20? jaar gekost heeft ;) .
  zondag 2 mei 2021 @ 00:09:32 #120
129292 LXIV
Cultuurmoslim
pi_199240311
quote:
0s.gif Op zondag 2 mei 2021 00:08 schreef bleiblei het volgende:

[..]

Taiwanezen worden niet graag Chinezen genoemd :P .
Wist ik niet. Maar zijn het toch gewoon wel? Etnisch gezien dan..
En hoe zit het met dat nil?
Op maandag 15 mei 2023 18:39
Wellicht arrogant, maar ik weet 100% zeker dat ik meer weet van de Amerikaanse geschiedenis, vooral die van de Zuidelijke staten, dan alle fokkers bij elkaar. Durf ik mijn hand wel voor in het vuur te steken.
  zondag 2 mei 2021 @ 00:14:28 #121
36431 bleiblei
Toogmakker:copyright:
pi_199240361
quote:
0s.gif Op zondag 2 mei 2021 00:09 schreef LXIV het volgende:

[..]

Wist ik niet. Maar zijn het toch gewoon wel? Etnisch gezien dan..
En hoe zit het met dat nil?
Weet ik veel :D . Wat ik eerder al zei, ben minder thuis in die business :P . NIL en Grafeen wafers waren nieuw voor mij. Wel leerzaam trouwens! En wat ik er al in editte in mijn vorige post. Vergeet niet dat EUV ook 15-20jaar geduurd heeft tot de big hit nu.
  zondag 2 mei 2021 @ 00:16:38 #122
36431 bleiblei
Toogmakker:copyright:
pi_199240381
En wat betreft Taiwan. Van beide kanten is het een precaire kwestie. En vinden de Chinezen dat ze gewoon onderdeel zijn van China. Maar willen de Taiwanezen (al zijn ze technisch gezien gevluchte Chinezen) absoluut zo min mogelijk met China te maken hebben.
pi_199240458
quote:
1s.gif Op zondag 2 mei 2021 00:14 schreef bleiblei het volgende:

[..]

Weet ik veel :D . Wat ik eerder al zei, ben minder thuis in die business :P . NIL en Grafeen wafers waren nieuw voor mij. Wel leerzaam trouwens! En wat ik er al in editte in mijn vorige post. Vergeet niet dat EUV ook 15-20jaar geduurd heeft tot de big hit nu.
Bij dat soort dingen is het wel van eerst zien dan geloven. Net wat je zegt UEV van ASML duurde ook enorm lang voordat het goed werkend was. Idee is één maar dat goed en op grote schaal werkbaar krijgen is een heel ander verhaal.

Zelfde met batterijtech, hoeveel berichten hebben we de afgelopen jaren wel niet voorbij zien komen over revoluties die er ieder moment aan zitten te komen. Ondertussen zijn we wat jaren verder en is de batterijtech zeker beter geworden maar meer evolutie dan revolutie.

quote:
0s.gif Op zondag 2 mei 2021 00:16 schreef bleiblei het volgende:
En wat betreft Taiwan. Van beide kanten is het een precaire kwestie. En vinden de Chinezen dat ze gewoon onderdeel zijn van China. Maar willen de Taiwanezen (al zijn ze technisch gezien gevluchte Chinezen) absoluut zo min mogelijk met China te maken hebben.
Tot voor kort had ik gedacht dat ze daar tot in de eeuwigheid de status quo zouden houden. Op de achtergrond zijn ze al zo verbonden aan elkaar en met zulke financiële belangen wederzijds dat je dat het beste met rust kan laten. Maar China is de laatste paar jaar wel een stuk 'agressiever' geworden naar mijn idee.

[ Bericht 13% gewijzigd door tjoptjop op 02-05-2021 00:31:06 ]
pi_199240494
quote:
0s.gif Op zondag 2 mei 2021 00:09 schreef LXIV het volgende:

[..]

Wist ik niet. Maar zijn het toch gewoon wel? Etnisch gezien dan..
En hoe zit het met dat nil?
Nederlanders zijn ook gewoon Duitsers toch word ik niet graag Duitser genoemd :P
pi_199240775
quote:
0s.gif Op zaterdag 1 mei 2021 23:52 schreef LXIV het volgende:

[..]

Dat nil, daar hebben ze het al 15 jaar over. Hoeveel chips zijn er inmiddels al mee gebakken dan?
quote:
1s.gif Op zondag 2 mei 2021 00:14 schreef bleiblei het volgende:

[..]

Weet ik veel :D . Wat ik eerder al zei, ben minder thuis in die business :P . NIL en Grafeen wafers waren nieuw voor mij. Wel leerzaam trouwens! En wat ik er al in editte in mijn vorige post. Vergeet niet dat EUV ook 15-20jaar geduurd heeft tot de big hit nu.
[/quote]

quote:
0s.gif Op zondag 2 mei 2021 00:25 schreef tjoptjop het volgende:

[..]

Bij dat soort dingen is het wel van eerst zien dan geloven. Net wat je zegt UEV van ASML duurde ook enorm lang voordat het goed werkend was. Idee is één maar dat goed en op grote schaal werkbaar krijgen is een heel ander verhaal.

Zelfde met batterijtech, hoeveel berichten hebben we de afgelopen jaren wel niet voorbij zien komen over revoluties die er ieder moment aan zitten te komen. Ondertussen zijn we wat jaren verder en is de batterijtech zeker beter geworden maar meer evolutie dan revolutie.
[..]

Tot voor kort had ik gedacht dat ze daar tot in de eeuwigheid de status quo zouden houden. Op de achtergrond zijn ze al zo verbonden aan elkaar en met zulke financiële belangen wederzijds dat je dat het beste met rust kan laten. Maar China is de laatste paar jaar wel een stuk 'agressiever' geworden naar mijn idee.
De Chinezen hebben op meerdere paarden gewed. Ook op direct laser writing:

Chinese onderzoeksinstituten zouden echter een doorbraak hebben bereikt met laser direct writing. Bij deze techniek beschrijven lasers direct en heel precies op nanoniveau wafers, wat als voordeel kan hebben dat geen dure maskers nodig zijn, zoals bij EUV- en immersielithografie. De doorbraak zou bereikt zijn door onderzoek van het Suzhou Institute of Nano-tech & Nano-Bionics in samenwerking met het Chinese National Center for Nanoscience and Technology. Details over die doorbraak zijn er niet, maar deze zou maken dat laser direct writing potentie biedt voor massaproductie. Daarmee zou het land de grondslag leggen voor zelfontwikkelde lithografiemachines voor het maken van 5nm-chips.

https://tweakers.net/nieu(...)e-chips-met-euv.html

EUV kost veel geld en is maar beperkt bruikbaar.

https://semiengineering.com/whats-next-for-euv/

ASML heeft de wedstrijd gewonnen maar de competitie is net gestart. ASML kan zijn borst nat maken.
abonnement Unibet Coolblue
Forum Opties
Forumhop:
Hop naar:
(afkorting, bv 'KLB')